td synthese vhdl - Examen corrige

1er exercice: Générateur monocoup. Soit le programme VHDL ci-dessous:.
library IEEE;. use IEEE.STD_LOGIC_1164.ALL;. use IEEE.STD_LOGIC_ARITH.
ALL;.

Part of the document